Altium Designer 10 (Platform Build 10.391.22084) のリリースノート

Date: 24 February 2011


Releae notes for:

 

PCB

50

Altium Designer のメモリ使用は、STEP モデルの視覚的なメッシュの生成をシステムプロセスへ分割することで改善されました。

87

16以上のメカニカルレイヤは、Update From Libraries 比較リストに表示されます。

185

Examples フォルダは、Vista や Windows 7 でのセキュリティの問題を防ぐために Program Files フォルダの外に移動しました。

192

Messages パネルに表示される Testpoint Rule メッセージの誤植を修正しました。

261

"Jump to Component" ダイアログの動作は修正されました。コンポーネントリストの入力は18文字で省略されません。また、ダイアログのタイトルは "Placed Components" に変更されました。

272

PCB ドキュメントを ASCII で保存してもエンベデッドボード オブジェクトを削除しません。 

278

PCB から Testpoint Report を作成した後、関連したファイルのみが CAMtastic® で開きます。 

287

Altium Designer STEP モデル機能は、少数のサードパーティ STEP ビューワアプリケーションによって影響しません。

364

Minimum Mask Sliver DRC エラーは、90、または270度に回転したパッドについて正しく生成されます。

372

Rule Wizard ダイアログは更新されました。最初と最後のページのテキスト欄は編集できません。各ページの上部にある説明文は欠落しません。また、上部の絵が消えないようにダイアログは大きくありません。

396

SimView 波形ドキュメントで多くのタブがあって最初に見えない時、タブの選択やドラッグの操作は正しく働きます。

428

Spice 抵抗の温度係数を解析する問題は修正されました。

435

未接続のサーマルの DRC エラーチェックは改善されました。理想的な銅箔領域から50%未満の領域に対して偽の DRC エラーは生成されません。

454

"Set Snap Grid" ダイアログで単位を切り換えることができます。

474

Export to PCB コマンドは改善されました。囲まれたポリライン内のスプリットプレーンは正しくPCBへエクスポートされます。

504

メカニカルレイヤ17から32までのガーバーファイルは、File>Import メニューを通して PCB へインポートされます。

524

ガーバー出力を生成している時の Panelization オプションは正しく働きます。選択したレイヤは正しく面付けされます。そして、auto-load オプションが有効な場合、生成されたガーバーファイルは CAMtastic® にロードされます。

541

ODB++ 出力生成は改善されました。生成されたディレクトリ構造は、バージョンコントロールに容易に追加できるように1つのファイルに zip で圧縮されます。また、.svn フォルダは削除されません。

553

Object Class Explorer は改善されました。Add Selection コマンドはリスト内の余分な項目を選択しません。また、リストを表示する速度は同様に改善されました。

555

PCB Preferences>Board Insight Display ページの Font Name と Style は、DirectX モードで正しく更新します。

567

PCB Rules の Edit Rule Priorities ダイアログのサイズを変更できます。

665

インタラクティブ プロセス中、スペースキーを押すことで HUD 相対原点をリセットしません。

718

コンポーネントの位置を変更する時、コンポーネントがロックされている場合、ワーニングが表示されます。

727

3D 外形を配置、ドラッグするための参照ポイントは原点になります。 

728

3D 外形を配置中にスナップポイントを追加できます。

741

PCB を ASCII で保存した時、寸法線が削除された問題は起こりません。

770

DirectX モードで、ENDキーを押すことでビデオメモリをクリアします。

815

"DRC Violations Display" ページの修正した状態は、変更を適用後、リセットされます。

825

ルームは、S09 以前と同様に互いにスナップします。

826

99SE からインポートしたインターナル スプリットプレーンは、正しいネットが反映されます。

828

コンポーネントテキストを整列するために整列ツールを使用する時、コンポーネント全体ではなくテキストのみが整列されます。

887

PCB メカニカルレイヤ17から32も Scripting System でサポートします。

902

ODB++ 出力 EDA/データ サブネット (SNT)履歴は、サブネット履歴と共にドリル機能をリンクする内容を含みます。これらの内容はフォーマット "FID H Layer# Feature#" です。

905

サンプルのスクリプトは、メカニカルレイヤ17 - 32をサポートするために更新されました。

906

MaxMin Width Constraint は改善されました。その制約は、チェックされている現在のレイヤのパッドやビアのみのネットもチェックします。

914

STEP モデルを増やす時、Access Violations は起こりません。

955

45/90 度アークモードの配線は、終点の代わりに前のアークの開始点から、次の決定していないアークを開始しません。

1012

パッドやビアへティアドロップを追加する場合、バージョン AD6.9で長いトラックは必要ありません。

1121

Teardrop Options ダイアログでは、ティアドロップが作成されているパッドやビアに関して正しく読み込まれます。

1374

ポリゴンやリジョンの端を編集している時、カーソルをフローティングパネルの近くに移動するとフローティングパネルは透過になります(PCB で操作時の伝統的手法です)。

1385

エレクトリカルグリッドがオフで同じネットオブジェクト上でクリックした時、インタラクティブ配線ツールは適切に自動で終了しませんでした。

1386

変換した .Designator スペシャルストリングは、それに相当するコンポーネント、またはデジグネータを移動するまでロックされません。

1387

トラック(トラックの終点でない)から配線を開始している時、重なっているトラックを残さないようにインタラクティブ配線ツールを修正しました。また、トラックが並行している場合、トラックは1つのトラックへ結合されません。

1388

スプリットプレーンの再構築やロードスピードは、S09 と比較して2から4回分改善されました。スプリットプレーンの編集スピードは、S09 と比較して10から20回分改善されました。

1389

インタラクティブ配線ツールで SMD パッドからの配線を改善しました。

1390

パッドがボトムレイヤの SMT パッドである時、インポートした Protel Version 2.7 や 2.8 のデザインは正しいパッドスタックレイヤサイズと形状を使用しませんでした。この問題は解決しました。

1391

プレーンレイヤのタブをダブルクリックしてプレーンレイヤを修正後、プレーンは更新しませんでした。この修正は、レイヤスタックマネージャを使用して完了した修正と共に反映されます。

1392

Interactive Routing オプションフォームで、"Follow Mouse Trail" ラベルの次の "Push Modes" のテキストが正しくありませんでした。Follow Mouse Trail は Walkaround Conflicting Objects と共に働きます。

1393

"Enclosed & Touching Objects" オプションを設定する時、"Copy Room Formats" ではポリゴン(ソリッド、または格子上)をコピーします。また、格子上ポリゴンをこの方法でコピーする時、クラッシュしません。

1394

Fabrication、または Assembly Testpoint Style ルールの属性を修正する時、Allowed Side Top/Bottom チェックボックス オプションはテストポイントボード側を制限するために使用できます。

1395

"Pickup track width from existing Routes" オプションを有効にした時、インタラクティブ束配線や差動ペアツールでは、既存のトラック幅を使用します。

1396

Winter 09 で誤って表示された layers ダイアログからの 'Background' レイヤを削除しました。

1397

レイヤタブの Short/Medium/Long Display 名設定は Altium Designer セッション間で記憶されます。

1398

IPC-D-356A テストポイント レポートは、テストポイント(099)記録を適切に生成するために割り当てたテストポイントを含んでいませんでした。これは修正されました。

1399

OnBottomSolderMask として Solder Mask Expansion ルールのスコープを設定後、Altium Designer は異常な終了が続く問題がありました。この問題は修正されました。

1400

インタラクティブ差動ペアとマルチ配線ツールのループ削除をサポートしました。

1401

穴の回転、または穴のオフセットがゼロでないパッドが誤ってミラー反転するバグは修正されました。

1402

新しい Coordinate Positions オプションは、Testpoint Report 設定に追加されました。絶対原点、または現在のボード原点と比較して全てのテストポイント レポートフォーマット(IPC-D-356A を含む)をエクスポートできます。

1403

~214750mil (5454 mm) より大きい配線済みネット長やマンハッタン長はマイナスで表示されません。

1404

コンポーネントを移動する時、Net Antenna 違反は更新されます。

1405

差動ペアのトラックを移動しないよう、ルールで指定した値より大きいギャップを作成しないように、差動ペアトラックのハイライト表示を改善しました。

1406

アポストロフィは、名称の指示子と共に Query Helper の結果に含まれます。

1408

"Allow Via Pushing" オプションをインタラクティブ配線ツールへ追加しました。

1409

全てのテストポイント レポートタイプは、エンベデッドボードアレイをサポートします。複数のエンベデッドボードアレイを含む PCB ドキュメントからエクスポートした時、複数の IPC-D-356A ネットリストファイルが作成されます。

1410

誤って MidLayer31 をレイヤスタックに追加したレイヤスタックマネージャの問題は修正されました。

1411

SMD To Plane Rule は改善されました。SMD パッドとそれに最も近いビア間の距離は正しく計算されます。そのルールは、SMD パッドと同じネットがあるスプリットプレーンに接続するビアのみ考慮します。

1412

PCB ドキュメントに格子状ポリゴンが含まれている場合、Designator/Comment 属性の変更は遅くなりません。

1413

配線モードが HugNPush で、2つの軌跡に沿って配線しようとする(軌跡の1つを誤って押しのける凸状のコーナーを形成)時のインタラクティブ配線ツールの問題を修正しました。

1414

バーコード テキストの表示は修正されました。テキストはバーコードの下に表示されます。

1415

テストポイント DRC は、ビアスタックを通して変更されたサイズのビアを適用します。

1416

パッドの銅箔より大きい3Dパッドの穴を表示した時、solder mask をカットします。

1417

Net Antenna ルールは、異なる幅の小さいトラックのために偽の違反を作成しません。

1418

"Copy Room Formats" コマンドはポリゴンを複製しません。

1419

現在、PCB デザインを開いていないでシステムプリファレンスの Favorite Interactive Routing Via Sizes ダイアログへアクセス、使用するとシステムの問題が起こりました。この問題は修正されました。

1420

テキストの一部が無い coordinate オブジェクトによって起こったレイヤを有効にする時のクラッシュは起こりません。

1421

レイヤが PCB 上に存在しなくても、全てのビアスタックレイヤのサイズは保存されます。

1422

インタラクティブ配線中にビアサイズを選択した後、ツールはその他のビアサイズ機能を考慮しないで、選択した穴サイズのビアを配置します。この問題は修正されました。

1423

スプリットプレーンを含む ASCII PcbDoc を PCB エディタへロードした時、スプリットプレーンは個々のネット割り当てを保持しませんでした。この問題は修正されました。

1424

障害が無い時に押しのけできなかったビアの問題を修正しました。

1425

オートポジションとテキストの整列は、インポートした P-CAD PCB デザインのために改善されました。

1426

ASCII 2.8 フォーマットで PCB ドキュメントを保存しても、オリジナルファイルの Bottom レイヤに配置した SMD Rounded Rectangular パッドを Rectangular パッドに変更しません。

1427

障害を回り飛び越えない時、ユーザーが示した経路にトラックが留まるように、インタラクティブ配線の "Follow Mouse Trail" モードを改善しました。

1428

Design Rule Checker ダイアログでは、どんなデザインデータを修正したかどうかに関係無く、旧ファイルとして PCB デザインドキュメントを示していました。これは修正されました。

1429

接触しているパッド/ビアは接続されているとみなされるので、"Include unconnected MidLayer pads" オプションが有効でなくてもガーバー/ODB++ 出力で表示されます。

1430

インタラクティブ配線ツールで、ビアの外側へ配線を移動するのではなく、ビアの1つを押しのけるようにビア間を配線している時の結果を改善しました。

1431

2つの新しい Condition Type を Query Builder へ追加しました: "In Any Polygon" - ボード内のポリゴンを示します(InPolygon と同様)。"Belongs to Polygon" - 名称が付けられたポリゴンを示します(InNamedPolygon('Poly Name') と同様)。

1432

Clearance ルールは、同じ差動ペアで無視されます。このようなオブジェクトのために Clearance ルールを使用する代わりに、Differential Pairs Routing ルールの Min Gap 値は差動ペアオブジェクトのクリアランスを制限するために使用します。

1433

"Swap To Opposite Route Point"(ショートカット '9')を使用して配線を完了した後、"swap" コマンドの前に追加した配線オブジェクト(ビア、トラック、アーク)はロックした状態のままにならないよう、インタラクティブ ルータを修正しました。

1434

SMD NeckDown ルールは改善されました。このルールは、全てのパッドの代わりに SMD パッドのみチェックします。

1435

違反を起こさないよう Stop At First Obstacle モードに設定した障害回避で使用したマルチ配線ツールと差動ペアツールを修正しました。

1437

Query Helper の InFromTo( ) を使用している時、既存の FromTo を選択するために自動でポップアップ表示すべきですが表示されませんでした。FromTo を選択するためのポップアップは修正されました。

1457

CAMtastic® NC Rout ローダは、反時計回りのアークを含む rout 経路を正しくインポートします。また、ツール選択コマンドで指定しなくても正しいカッターの代用が使用されます。

1458

標準ガーバー(例えば、G54 アパーチャ選択コマンドに従った G36 定義)に従わないポリゴン定義は、CAMtastic® に正しくロードされます。

1459

CAMtastic® ガーバーローダは改善されました。ローダは、少数の異形のポリライン アパーチャマクロ定義をロードできます。

1460

エンベデッドボードアレイの NC ドリルのエクスポートは改善されました。ブラインド/ベリードビアを含むミラー反転したエンベデッドボードアレイは正しくエクスポートされます。

1462

IKF が PSpice ダイオードモデルで指定されない場合、AV は起こりません。

1485

Design->Make PCB Library コマンドを実行している時、STEP モデルを含んだコンポーネント外形が更新されませんでした。この問題は修正されました。

1517

信号層の切り換えは正しく働きます。もし、次のレイヤがインターナルプレーン レイヤである場合、次の信号層がアクティブになります。

1622

右クリックメニューコマンド "Wrap Rectangular Room Around Components" は、選択したルームで働きます。

1636

ミラー反転したエンベデッドボードアレイをコピー、ペーストする時に反転しない問題を修正しました。

1638

pcblib で "PCB Library Navigator" パネルに表示されたマスクは、コンポーネントのリストがマスクでフィルタ表示しているにも関わらず、'*' を正しく表示されないバグは修正されました。

1663

PCB Navigator、Rules & Violations、3D Models パネルの "Mask" モードは修正されました。Select を無効にしてパネルモードを "Mask" に設定する時、セレクトは保持されません。

1679

ユーザが定義したレイヤ名を使用するように、PCB パネルと PCB Library パネルは改善されました。

1684

Windows Vista や Windows 7 で STEP ファイルを保存する時に使用したファイル拡張子を修正しました。オリジナル PcbDoc ファイルを上書きするのを防ぎます。

1689

密度マップは DirectX で働きます。

1692

大きいデザインで ambiguity resolution のポップアップメニューを表示する時間を改善しました。

1696

パワープレーン接続の不必要な更新によって起こったテキストを移動する時の長い遅延は修正されました。

1708

混合のフローティングパネルは、インタラクティブ配線のために修正されました。

1709

PCB Library エディタで FSO を使用する時、デフォルトでチェックされていない Whole Library オプションがあります。

1720

Export to SiSoft Files オプションは、PCB save as ダイアログで利用できるファイルタイプに追加されました。

1737

大きい PCB デザインで Layers/View Configuration ダイアログを表示する時間を改善しました。

1742

大きいデザインでパフォーマンスをテストするカーソルを改善しました。

1790

常用インタラクティブ配線のサイズでは、最初にフィールドを変更しないで OK ボタンをクリックして正しく更新するフィールドがあります。

1797

PCB file Save As ダイアログにオプションとして Export Ansoft Neutral File (*.anf) が追加されました。

1806

ビアをドラッグする時、接続したトラックを再度、ハイライト表示します。

1807

インタラクティブルータの長い起動遅延を修正しました。その遅延は、デザインに存在する大きいネットへショートする場合に起こります。一般的に、従来のポリゴンで起こりました。

1813

PCB ライブラリエディタでコンポーネントの原点を変更して連続して保存する時、コンポーネントが周りをジャンプするように見える再描画の問題が起こりました。この問題は修正されました。

1814

PCB レイヤセットは、Update from Libraries 後、更新されます。

1818

インターナルプレーンがあるボードで作成された誤った "Isolated Copper" DRC エラーは修正されました。

1824

ESC キーで Applicable Rules ダイアログをキャンセルできます。

1828

PCB パネルで、ズームレベル ポップアップ ダイアログはその画面内に残ります。

1831

単位のサフィックスは PCB パネルや PCB List の値から削除され、適切な欄に表示されます。

1849

solder mask は 3D のボード外形を修正後、更新します。

1876

PCB ライブラリの "Import" サブメニューは、空の(それに関連したコマンドが無い)場合、表示しません。

1898

差動ペアの配線長チューニングプロセスのチューニングコードが解決策を見つけることができなかった時のクラッシュを修正しました。

1917

CAMtastic® Export to PCB プロセスでは、原点に余分なマルチレイヤパッドは作成されません。

1932

コンポーネント/ネット クラスは ECO 後、正しく Undo/Redo します。

1974

step としてエクスポートしたボードは、(x=0,y=0,z=0) で外部の step プログラムに配置されます。Solder Mask はエクスポートで考慮されます。

2013

大きい PCB デザインをズーム、またはパンした後の遅延は削除されました。

2035

SimView プリントは、プロットで指定したスケール値を使用します。

2042

3D モードでコピー/ペーストや配置できます。

2049

高品質な 3D 出力ジョブと画面が可能な新しい anti-aliasing オプションがあります。

2051

2D DirectX で、角穴、または長穴パッドはインターナルプレーン接続のサーマル形状を適切に表示します。

2064

PCB エディタでフットプリントをコピー、ペーストしても複製したユニークID は作成されません。

2073

シルクスクリーン レイヤに配置されたパッドは 3D で表示します。

2081

ロックしたオブジェクトのテクスチャは、選択したプリファレンスに従って働きます。

2094

ペーストしたプリミティブは既存のユニオンに追加されないように、ユニオンに属するコピー、ペーストするプリミティブの動作は変更されました。

2107

CAMtastic® の印刷は修正されました。最初のページだけでなく各ページのヘッダテキストを印刷します。

2109

Draft モードの時、パッド/ビアのサーマル形状はドラフトで描画されます。

2158

Altium Designer を使用している間、ユーザが選択したセレクトを保持します(No net オブジェクト/ロックしたオブジェクトのフラグを保存します)。また、ユーザがそれを行うために選択する場合のみこのダイアログを表示します。

2199

BGA エスケープ配線は width ルールに従います。

2204

エンベデッドボードの移動/回転は、エンベデッドボードの原点ではなく入力位置を基準に回転します。

2215

"Update selected components from PCB libraries" コマンドのスピードは改善されました。

2217

多くの頂点があるポリゴンの編集スピードは改善されました。そのプロセスは以前より平均 ~100 回早いです。

2222

TrueType テキストは、隙間がある文字を正しく表示できます。

2223

rounded rectangular パッドのマスクコーナー半径は、パッドに対するパーセンテージの代わりに全マスク拡張サイズを使用して計算されます。

2239

ソリッドポリゴンやリジョンのメモリ使用量を改善しました。

2272

"Board Insight Color Overrides" プロパティページへ行った変更は適用されます。そのページは不正にマークされません。

2273

"Make PCB Library" コマンドでは、TrueType テキストと BarCode テキストを含む全てのテキストプロパティをコピーします。

2275

Measure Primitives が "フラットレイヤの距離" と異なる時、"同じレイヤの距離" をレポートします。

2299

"Measure Primitives" はポリゴンと共に働きます。

2366

DRC 違反表示のパターン変更、またはズームアウトは、状態を再構築する必要はありません。

2395

3D で、ボードカットアウトの透過側は適切に表示します。カットアウト内のオブジェクトは見えます。

2413

Layer タブの右クリックメニューでは、ボードが反転表示されているかどうか正しく表示します。

2435

"File in Previous Format" ワーニングレポートは不適切なプロジェクトへ追加されません。

2447

格子状ポリゴンの構築は重なっている銅箔を含みません。

2458

Ibis コンバータは、バージョン 5.0.3 の ibis golden parser に更新されました。

2467

複数の接続されていない銅箔の島がインターナルプレーン上にある時、未接続のサーマルの検出を改善しました。

2475

差動/マルチ配線中に TAB キーを押して表示されるダイアログを通して設定する Routing Width は、1つの trace router と同様に扱われます。

2477

ネストしたプロセスを終了したり配線に戻る時、配線中のクロスヘアーは消えません。

2488

ESC を押すことで "Object Class Explorer" ダイアログをキャンセルします。

2489

開始、終了点が固定したままになるように、アークの扱いを変更しました。オリジナルモード(開始、終了点が移動します)は ctrl キーを押したままで利用できます。

2495

グリッドは暗く表示、またはマスク表示されません。

2550

影は最初のフレーム、または画面を再構築後、フリッカになりません。

2629

中サイズのフォントで設定されている Windows 7で PCB List/Object Inspector "Objects to Display" ポップアップの objects kind リストは欠けません。

2652

CAMtastic® ガーバーローダは改善されました。ポリゴン定義を含む3rd パーティガーバーは正しくインポートされます。

2673

押しのけ操作中の Interactive Router や特定のトラックのジオメオトリを修正しました。

2674

オープンした回路を配線している時、Interactive Routers の Loop Removal は働きます。そして残されたスタブを削除します。

2683

ビア内の小さいトラックはドラッグできます。

2684

ビアに配線している時、Interactive Router の Loop removal は間違ってビアを削除しません。

2688

Actel SmartFusion デバイスの Board Level ライブラリは利用できます。

2694

pcb ライブラリリストで選択したフットプリントが、IPC® Compliant フットプリントウィザード実行後、PcbLib エディタのフットプリントと一致しない問題は解決しました。

2699

Pour Order への変更が、影響を受けたポリゴンのリポアを必要とすることをポリゴンマネージャは認識します。

2721

ルックアヘッドモードの時、後ろのスタブトラックを残さない様、インタラクティブルータを修正しました。ユーザが配線を決定するために左ボタンをクリックして、マウスを移動しないで配線を終了するために右クリックした場合、スタブトラックは残りました。

2724

PCB ドキュメントを保存後のわずかな停止は改善されました。この停止は、デザインインサイト機能で使用した PCB ドキュメントプレビューの生成によって起こりました。プレビューの生成は、最適化され 50% 早くなりました。

2763

ユーザが "PCB Rules and Violations" パネルでクリックする場合、バッチ DRC 実行時にクラッシュは起こりません。

2771

パッドスタックモードを Top-Middle-Bottom、または Full Stack として設定した時、PCB Editor からの Make PCB Library コマンドは、正確にコンポーネントパッド形状やサイズを複製します。

2772

"Lock Strings" 属性の値を変更、フィルターを適用する時、PCB FSO はエラーを起こしません。

2782

Un-routed net 違反は、violations パネルにネット名を表示します。そして、violations パネルから違反へズームしている時、違反しているプリミティブを表示します。

2784

preferences->pcb->models の Temporary Mesh Data は、ディスクに存在しない場合、作成されます。

2785

パッドサイズが0(例えば、穴サイズのみを定義する実装穴)のためパッド形状の情報が正しくない時、ODB++ では、PCB ドキュメントから正しく出力を生成します。

2788

インターナルプレーンがボードにある時、Unplated パッドは、Unrouted Net(別名 Broken Net) ルールによって正しくレポートされます。

2803

Make PCB Library コマンドは、PCB ライブラリを PCB プロジェクトへ自動で作成します。

2807

ルームがターゲットとするルールが rules ダイアログで有効でない時、"Wrap room around component" に関連するコマンドは働きます。

2808

SpaceNavigator デバイスで PCB エディタ画面をパンしている時の問題は修正されました。マウスカーソルを PCB エディタの水平方向のスクロールバーで移動した場合、パン方向は以前、正しくありませんでした。

2824

マウス位置を変更しない、古い/新しいテキスト x1,y1,x2,y2 が同じである場合、Place String コマンドは失敗しません。

2835

PCB ASCII フォーマットローダは、Top/Mid/Bottom スタックパッドを正しくインポートします。

2866

preferences の Temporary Mesh Data のために定義したフォルダが書き込みできない場合、メッセージは Messages パネルに表示されます。

2873

Default Primitives Layer は、特定のプリミティブのために全てのレイヤから選択できます。

2881

PCB エディタのティアドロップツールは、パッドやビアに接続する配線したアークのためにティアドロップを作成します。

2907

マルチ配線ツールでは、クラッシュを起こす no-net の開始オブジェクトを残しません。

2922

"Deselect / Inside Area" コマンドは、ボード外形のセレクトを解除します。

2926

Designator / Comment Locked フラグは、PCB Inspector や PCB List パネルで正しく働きます。

2934

"Configure Pin Swapping For Component in Project" ダイアログのコンポーネントプレビューで表示するパッドのセレクト表示は、マスクされたコンポーネント外形によって見づらくなりません。

2938

いくつかの列を選択して "Pin Swapping" の列を "Pin Group" でグループ化してからコンポーネント プレビュー画面でクリックする時、Access Violation は "Pin Swapper" ダイアログで起こりません。

2985

インタラクティブ プロセス中に F1 キーを押して表示されたショートカットリストは、メニューアイテム セパレータ(SEPn)を含みません。

2999

Query Helper と Query Builder では、ユーザがヘルパーポップアップメニューから選択する全てのストリング名をシングルクオートで囲みます。

3015

リジョンは配置する時、ネットが割り当てられます。

3021

"Place Text" コマンドを使用して文字高さを変更しても正しく反映されます。

3028

インタラクティブルータは、押しのけ後、ロックした状態のトラックを残しません。

3074

"Layer Stack Manager" のレイヤスタックの種類のドロップダウンボックスはフォーカスした場合、編集できません。

3075

ユーザが Administrator 権限を持っていない場合、Windows Vista - Windows 7 で PCB ドキュメントを Specctra CCT へエクスポートしている時、AV は起こりません。

3095

PCB ドキュメントを保存する時、"Explode Polygon to Free Primitives" コマンド(ソリッドポリゴン用)は、編集できない見えなくなるポリゴンリジョンを作成しません。

3100

"Preserve Angle When Dragging" オプションを有効にして Track End をドラッグしても、その長さがトラック幅より小さい場合、ドラッグしたトラックを削除しません。

3108

複数のトラックが SMD パッド内で交差する場合、Corner ルールへの SMD は偽の違反を作成しません。

3109

PCB library パネルのコンポーネントフィルタ(Mask の組み合わせボックス)は改善されました。ワイルドカード(*)は必要な場合、自動で付加されます。フィルタは各キーを押した後、反映されるので Enter を押す必要はありません。

3116

Drill Drawing レイヤのドリルシンボルのガーバー出力では、エラー、またはランダムな出力となる非常に大きいアパーチャを使用しません。

3139

View configurations エディタの Apply ボタンで正しく色変更を更新します。

3166

大きい格子状のポリゴンを含むデザインのための Acute Angle DRC ルールを実行している時に起こったクラッシュは修正されました。

3197

Advanced Differential Pair 配線ツールで、隣接している差動ペアプリミティブの自動ピッキングを改善しました。

3214

インタラクティブルータのループ削除のロジックは、ビア、またはパッド内の必要な短いトラックを削除しません。

3215

インタラクティブルータのループ削除はリジョンを削除しません。

3250

インタラクティブルータは、ポリゴン外形のオブジェクト(塗り潰しされていないポリゴンから)を障害として扱いません。これは、より大きい距離を必要とするため押しのけビアを発生していました。

3281

インタラクティブ配線は、配線が決まらない時、ネットクリーンアップを無効にします。

3337

ネット名のデフォルトの最大フォントサイズは、20 から 40 へ増えました。

3343

ポリゴンを無し(輪郭のみ)からソリッドに変更する時、ポリゴンのデフォルト設定はプリミティブから作成したポリゴンへ適用されます。

3381

ライブラリコンポーネント フットプリントを構築している時、PCB エディタの Make PCB Library はコンポーネントパッドの XY オフセットを重視します。

3392

"UnRouted Net" 制約違反があるパッドからネットを削除する ECO 実行後、"PCB Rules & Violations Panel" の AV は起こりません。

3490

パラメータ化されたマクロアパーチャを含む RS 274X フォーマットのガーバファイルをロードしている時に起こるエラーは修正されました。

4011

3D 外形を押し出した状況でプロパティを編集後、原点にジャンプしました。これは修正されました。

4077

'prefer simple'、または 'prefer STEP' を view configuration で選択する時、3D 外形は適切に更新します。

4156

PCB Editor -> Board Insight Display -> Minimum Object Size オプションが10で表示されたバグは修正されました。

4162

Clearance & Short Circuit DRC チェックのスピードは改善されました。

4263

ライブラリコンポーネントへコンポーネント外形を追加しても、pcb ドキュメントへ正しく更新します。

4298

QMatrix ボタンを編集している時に起こった動作の問題は解決しました。

4378

スタンドオフとオーバーオールの高さが同じである押し出し 3D モデルは重大なクラッシュを起こしません。

回路図とシステムレベル

11

パネルの境界は、Windows 7 で改善され正しく描画されます。

12

サンプルファイルは、Windows 7で admin 権限無しで実行できるように Program Files の外へ移動しました。

64

Windows 7 下の What's This Help は、インストールされていない時、正しいワーニングを表示します。

77

Adobe Reader がインストールされていない時、Publish to PDF では、エラーではなく情報メッセージを表示します。

84

画面サイズを超えるエラーダイアログを表示するのを回避するために、必要に応じてミスしているデバイスシートのリストは縮められます。

174

スクリプトを停止する時、evaluate ダイアログ(Ctrl+F7)では Tab キーは正しく働きます。フォーカスは同じコントロール上に残りません。

182

EnableBasic スクリプトは、スクリプト名を正しく表示し実行できます。

184

process launcher を編集している時、Edit Command ダイアログは常に Customizing エディタ ダイアログの上部に残ります。

187

Inspector からのスマート編集機能は改善されました。ターゲットのテキストは、選択したオブジェクトからテキストの欄を削除するために空のままにできます。

193

Delphi スクリプトのコード完了で、オブジェクトを正しく戻します。

206

Comparator エンジンは、マルチチャンネル デザインのために回路図から PCB へ更新するパフォーマンスを強化するために最適化されました。

209

単語の最後までテキストを削除する Ctrl+Delete キーの機能がテキストエディタに追加されました。

210

Oracle データベースを検索している時、Libraries Search ダイアログでは、エラーが修正され DBLIB の SQL quoting オプションを正しく適用します。

211

Oracle データベースを使用する DBLIB のフィルタは働きます。

212

異なる欄のレイアウト(回路図)を含むテーブルを使用している時、library パネルでグループ化される Database ライブラリのクラッシュは修正されました。

267

マルチチャンネルデザインの回路図ドキュメントから PCB を更新している時のメモリリークは修正されました。

273

物理的な PCB を比較している時のメモリリークは修正されました。

286

1つの To-Do の入力でも To-Do パネルのレポート作成は正しく働きます。

300

Windows 7 で表示設定を 中 - 125% を使用している時、ラジオとチェックボックスコントロールは正しいサイズで表示されます。

304

間にワイヤ/バスが無く、ポートをピンに直接、接続する時、ポート方向を修正しました。

324

部品表に Not Fitted コンポーネントが含まれている時、バリアントの変更した値(varied value)はレポートで使用されます。

325

OpenBus シートは、Sheet Symbol プロパティ ダイアログから選択できます。

336

全ての PCB Preferences ページは、現在のフォントサイズ(Win7 の標準、中、大)、現在の画面解像度に従って表示されます。

337

ディスプレーが Windows 7 の 中 125% に設定されている時、ダイアログは正しく表示するために修正されました。

339

Ctrl+F4 キーを使用してドキュメントタブを確実に閉じれます。

343

Inspector パネルと Component Properties ダイアログは同じ欄と項目のレイアウトで開きます。

345

=VersionControl_RevNumber スペシャルストリングは、バージョンコントロールへコミット後、リフレッシュ表示します。

392

出力を生成している時、間違っているデータソースドキュメントと共にOutputer は除外されません。これは、生成しているリリースが無視される代わりに検出されるエラーを意味します。

427

フローティング ツールバーは、Preferences の設定を変更後、ドッキングしません。

449

エレクトリカル サブパーツ(ピン)を持つグラフィカルパーツで起こった Sch と PCB を同期している時のクラッシュを修正しました。グラフィカルパーツは無視され、ナビゲートから除外されます。

453

モニターに最後に表示されたダイアログは、物理的な領域の外に表示されません。

511

バージョンコントロールからプロジェクトファイルを更新するには Update Whole Project コマンドを使用する必要があります。これはデフォルトで失っているファイルを含みます。これは、関連したドキュメントを追加しないでプロジェクトを更新することはより難しくなります。

513

Delete と Rename コマンドは、ローカル作業コピーと同様にリポジトリへ 適用します。

522

ファイルパラメータでなくポリゴン形状と比較して変更する時の問題は修正されました。

538

長い実行コンソール アプリケーション(例えば、Subversion)を実行している時に表示する "Execution time / Abort" ダイアログは改善されました。アプリケーションの進捗状況を表示し、Show Details >>> の項目でコンソール出力を表示します。

543

File View には、不明なドキュメントタイプのための Other Documents の項目があります。

552

Amazon S3 の保存場所で Publish To Web を使用している時、Test Connection ボタンを2度押している時に起こったクラッシュは修正されました。

563

ライブラリパスの変更は、ユーザライブラリの場所に影響するだけです。

569

Wizard ダイアログの大きさを変更している時の repainting の問題は修正されました。

639

Windows Vista や Windows 7 で、NexusCache.db ファイルは Program Files フォルダに書き込むために開きません。

692

バージョンコントロールのプリファレンスを変更後、Altium Designer を再起動する必要はありません。

697

Subversion を使用している時、Altium Designer は asccprj.scc ファイルを作成しない、またはそのファイルに依存しません。

717

ライセンスをリフレッシュしている時、複数のユーザの Windows Vista や Windows 7 の PC で Access Is Denied メッセージが表示される問題を避けるために、フォルダ権限が設定されます。

719

回路図ドキュメントでハイライトしている/ハイライトしていないネットは、修正されたドキュメントに反映されます。

731

パフォーマンスは、ネットワーク環境でワークスペース、ファイルをロード、閉じる操作のために改善されました。

760

ファイルロックは、不必要なファイルチェックを除去するために最適化されています。

784

Preferences の File Types で Set To Defaults を使用している時、Windows 7、または Windows Vista でエラーは起こりません。

808

preferences の Auto Detect Subversion ボタンで、より確実にバンドルされた Subversion 製品(例えば、CollabNet や SlikSVN)を見つけます。

827

グレースケール/モノクロモードでの、回路図ドキュメントの画像の印刷を修正しました。

835

長い操作中、進捗状況を表示する Windows 7 タスクバーボタンを追加しました。

836

Windows 7 タスクバー(進捗バーや最近使ったドキュメントを開いたり更新するための右クリックオプションを追加するために Altium Designer を固定)のサポートを追加しました。

842

Spice シミュレーションの DC 収束を改善しました。最初の収束が失敗した場合、GMIN やソースステップ アルゴリズム(DYNAMICDC や DYNAMICDCFACTOR の新しいオプションを含む)が実行されます。オリジナルの Spice3 収束アルゴリズムを使用するには DYNAMICDC を false に設定します。

862

Open Workspace ドキュメントコマンドは、各ドキュメントを開く前に、レビューするためにタイプごとに全てのドキュメントをリスト化して Confirm ダイアログを表示します。

863

OutJob を free document として開いている時、出力が生成されるクラッシュは修正されました。

871

File>>Open... ダイアログの Open from Version Control ボタンは、File>>Check Out... コマンドと置き換えられました。

872

最適化は、より素早くフットプリントを開く時と同様に、プロジェクトでモデルライブラリの Search Paths を使用できます。

877

実行している build が最新の build でない場合、bubble の通知が表示されます。

883

Soft Instrument Racks を含む Altium Designer のパネルは、Windows Vista や Windows 7 の OS をサポートする Microsoft Aero window border を正しくサポートします。

913

Orcad PCB ファイルインポーターの間違ったスペルは修正されました。

920

PSpice TABLE や VALUE ソースを含む Spice シミュレーションのスピードは改善されました。

921

オリジナル Spice3 コードで存在した PWR 機能の相違のバグは、修正されました。

922

最大、最小制限が一定でない時、LIMIT 機能は正しいシミュレーション結果を作成します。

924

Spice シミュレータ方程式に使用した数字で始まる英数字のノード名により解析エラーは起こりません。また、定義されていないノード名を使用するとエラーを生成します。

961

プロジェクトフォルダの中にありますがプロジェクトの一部でないプロジェクトのアノテーション ファイルは、常に Altium Designer で使用されています。Release 10 はこれについて警告し、これらのファイルをプロジェクトに追加します。

985

不適切な文字をダイアログに表示する代わりに波形名に表示する時、SimView 波形のパラメータをインポート中、ワーニングはメッセージパネルに表示されます。

986

ファイルから波形のパラメータをインポート後、SimView スクロールバーは正しく更新します。

987

SimView 波形のインポートは、インポートした波形を最適化し大きいファイルや、スクリプトパラメータを介してどの波形をインポートするか選択する機能を扱います。

1003

Altium Designer と MS Office 2007 や 2010 間の相互運用性は改善されました。

1009

"Publishing to PDF" のキャプションはステータスバーで止まりません。

1023

OutJob や Smart PDF からエンベデッド WMFs を含む回路図の Project Physical Documents を印刷、または配布する時の Out of Storage エラーは、修正されました。

1099

hint 内のフルパスを表示するオプションがワークスペース プリファレンスでオフの時、GDI オブジェクトはリークしません。

1106

Project Insight を有効で、プロジェクトアイコン上にカーソルを置いた後に起こった GDI オブジェクトのリークは修正されました。

1111

透過状態を終了後、Inspector や List パネルの painting を修正しました。

1114

On-Demand ライセンスは、追加のネットワークアダプタによって無効になりません。

1122

ドキュメント プレビューファイルは、ドキュメントとして同じフォルダに保存されません。非表示のサブフォルダは、プレビューファイルを保存するために作成されます。

1251

Account Sign In - user name と password の前と後のスペースは削除されます。

1260

複数の PADS レイヤが同じ Protel レイヤへマップする時、PADS インポーターは 256 文字以上の長いレイヤ名を作成しません。

1306

Convert Part to Ports でポートの位置に影響を与えるバグは修正されました。

1371

ハーネスやアノテーション ドキュメントのためにデフォルトツールバーレイアウトを修正しました。

1380

Smart PDF では、(存在しないディレクトリを作成している時に失敗する)Free Documents プロジェクトの PDF を生成しません。

1381

Schematic Parameter Sets に Style プロパティを追加しました。新しい形状は、回路図の複雑さを軽減するためワイヤジャンクションのサイズぐらいです。

1382

Mirrored 設定がある部品を回転しても正しく Orientation 属性が設定されます。そのため、Update from Libraries で使用できます。

1383

Libraries パネルから回路図にコンポーネントを配置する際、ドラッグ中に X、または Y を押しても Mirror 設定はリセットされません。

1384

斜めのシグナルハーネスは、回路図ドキュメントで正しく描画できます。

1453

Projects Panel Design Insight では、Mouse Hover Delay プリファレンス設定を使用し画面に固定されません。

1454

Publish To PDF 設定の出力ファイル、またはフォルダ名は、相対パスとして保存されます。これにより、異なるプロジェクトとフォルダ間で OUTJOB ファイルを容易に移動できます。

1455

回路図を開く前に Libraries パネルでライブラリを表示(Click here to draw component と表示)し、そのライブラリを登録解除して Schematic プリファレンスをクリックしてもクラッシュしません。

1456

ユーザが Importer Wizard を起動する時のみ、ファイルトランスレータがロードされます。

1464

Subversion password ダイアログは表示されます。

1502

重複したデジグネータを割り当てた時、プロジェクトコンパイルでサブパートをコンポーネントへ正しくグループ化するために修正されました。これは、pcb へ同期する問題を引き起こしました。

1507

Xilinx Spartan-6 ボードレベルライブラリは更新されました。CP196、CS484、FG900 パッケージのデバイスが含まれました。

1532

Subversion のパフォーマンスは、遅いネットワークリポジトリに影響を及ぼす状況で改善されました。

1533

Export STEP は、OUTJOB ファイルで設定するかもしれない outputer として利用できます。

1549

シートシンボルをデバイスシートへリファクタリングしている時、.svn、プレビューや history フォルダは表示されません。

1591

Windows Vista と Windows 7 では、Program Files 領域へログを書き込めません。そのため、DXP.log ファイルをローカルプロファイルへ移動し、ファイル名を portal.log に変更しました。

1593

プロジェクトを Subversion リポジトリに基づいたファイルに追加している時、ネストされたフォルダは正しく作成されます。

1612

ポータル停電によって起こった On-Demand ライセンスのライセンス認証の中断は、リースチェック間の時間を増やすことで減少しました。

1614

Storage Manager パネルでプロジェクトファイルの名称を変更した時のクラッシュは修正されました。

1620

複数の列を同時に変更できるようにするために、Project Options の Class Generation ページに右クリックメニューが追加されました。

1627

Update from PCB ライブラリ機能は、新しい PCB Release 管理システムに適応するために修正されました。

1642

後で切り離すモニター上に配置したフローティングパネルは、デスクトップに残らずデフォルトモニターへ移動します。

1669

複数の Home ページを表示した時に起こったナビゲーションシステムのバグを修正しました。

1690

回路図エディタでの F3 (Find Next) を修正しました。

1712

Add Project to Version Control コマンドで、リポジトリ内の場所を選択するための browser ダイアログをポップアップ表示します。そして、それを選択した場合、エラーが発生するファイルを除外します。

1732

Update From Libraries ダイアログでコンポーネントを選択した時の問題を修正しました。

1733

複数の Home ページを Altium Designer で開いた時の問題を修正しました。

1734

schematic component ダイアログで複数のパラメータを選択できます。

1750

PCB ドキュメントを更新している時、ECO で既存のルームを変更しません。新しいコンポーネントは、ルームの場所、または位置に接触しないで追加されます。新しいコンポーネントはルームの中央に追加されます。

1756

マップしたドライブは、Folder ダイアログのための SVN Browse から欠落しません。

1789

3D ライブラリ PCB モデルプレビューを右クリック+ドラッグでパンできます。PCB ディタで一貫性を持たせるために、ズームは Ctrl + 右クリック + ドラッグ、回転は Shift + 右クリック +ドラッグです。

1796

OUTJOB ファイルの緑色の矢印は、任意の操作後、正しく表示します。

1804

Text String は、Paste Special を使用してネットオブジェクトとしてペーストできます。

1805

新しいネットスコープは PCB プロジェクト、Strict Hierarchical に追加されました。このモードで、全てのパワーポートオブジェクトはローカルオブジェクトです。

1808

プロジェクトコンパイルが成功した場合、メッセージを Messages パネルに追加しました。

1809

pin swapping ダイアログでは、複数のコンポーネントのピンの display モードを表示します。

1850

パワーポートがポートに接続された時、優先されたネット名を割り当てるオプションが正しく働かないバグは修正されました。

1866

デバイスシートに配置したコンポーネントのドキュメントリンクを有効にしました。

1885

アドレス

http://xxx.xxx.xxx.xxx/?action=network_stats

をリクエストする時、web サーバのサンプルは統計値をレポートします。また、このサンプルのイーサネットバージョンは、NanoBoard ID に基づいた固有になる MAC アドレスを更新します。

1891

プロジェクトを開いている時の長い起動遅延は修正されました。

1902

最後の自動バックアップから修正がなかった場合、ドキュメントを保存しない自動バックアップを修正しました。

1908

Projects や Storage Manager パネルでの Version Control コマンドへ Add Folder を追加しました。

1922

"Always Show Comment/Designator" オプションを有効に設定した時の回路図ライブラリを含むクラッシュは修正されました。

1923

solder/paste マスク、または silkscreen レイヤで有効な形状がある場合、オフセットがあるパッドは ODB++ へ正しくエクスポートされます。

1926

オートポジションがオフ、または配置された部品が回転されている時、デジグネータ、コメント、パラメータは適切にライブラリから更新されます。

1940

ピンのみのセレクトを解除した後の再描画の問題は修正されました。

1942

Hide All in Project コマンドを修正しました。

1945

Preferences は、ページごとに設定をインポートできます。

1948

コンポーネントを再リンク後、OpenBus のバスエクスポーター/インポーター設定が開放される点を修正しました。

1975

回路図の =VersionControl_RevNumber スペシャルストリングは出力中に正しく更新できます。

2019

Ctrl を押しながらコンポーネントをドラッグする時、ピンが複数のその他のピンへ接続する箇所で複製されたワイヤは発生しません。

2020

ファイルをローカルヒストリーへ保存している時、ユーザ入力は処理されません。

2032

Windows 7 の互換性のために、ファイルシステムやレジストリの仮想化は Release 10 で無効です。

2063

サプライヤーデータへのライブリンク機能は、Mouser をサポートします。

2089

Add や Remove の操作は、複雑な変更を自動でコミットして追加、または削除するファイルを予定にするだけです。

2091

コンフリクトを避けるために Subversion のファイルをロック、ロック解除できます。

2117

Heads Up Opacity & Delay プロパティは、edit ボックスコントロールを使用して変更後、Apply ボタンをクリックして正しく保存できます。

2123

Net Harnesses を繰り返して接続した時のメモリエラーは修正されました。

2142

Subversion のような大文字、小文字を区別するツールでファイルを保存する時、ファイル名は大文字になりません。

2147

Messages パネルの flicking を修正しました。

2148

Projects パネルで、Open Project Documents の後に Close Project Documents を実行しても Access Violation は起こりません。

2153

Bus joiners は、プロジェクトレベルで固有のデジグネータを持ちます。

2177

Text Editor プリファレンスでは、テキストドキュメントを開かなくても言語を設定できます。

2205

"=Value" のようなスペシャルストリングは、パラメータの変更(回路図や回路図からプロジェクト生成された出力)で反映されます。

2261

プリファレンスをインポートしている時、標準のインストールパスはソフトウェアバージョンやオペレーティングシステムの不一致を考慮して更新されます。

2269

回路図をドラッグアンドドロップでワークスペースで開く時のクラッシュを修正しました。

2278

GDI リソースの扱いは改善されました。

2282

Version Control メニューは正しくなりました。

2283

新しい "Resolve Conflict" や "Revert Local Modifications" コマンドが追加されました。

2290

接続していないドライブからディレクトリ内で実行している Subversion に関連したクラッシュは修正されました。

2329

MatrixOne と Microsoft SCCI バージョンコントロールプラグインのサポートは削除されました。

2335

Ampersands (&) は、Startup/Help>>About 画面に正しく表示されます。

2358

Whole Project コマンドを実行してもデフォルトでプロジェクトでないドキュメントを非表示にしません。右クリックメニューを使用して、全てのプロジェクトドキュメントを選択できます。

2372

ユーザは、step エクスポートのコンポーネントのためのサフィックスオプションを選択できます。

2418

Ctrl を押しながらワイヤをドラッグする時の問題は改善されました。余分なラインは作成されません。

2422

プロジェクトをナビゲートしている時のクラッシュは修正されました。

2423

大きいフォントを使用する時の PCB/Sch List パネルを修正しました。

2487

Variants ダイアログで各欄を拡張表示するために fit to width チェックボックスを追加しました。

2506

グリッド値を編集中、Monte-Carlo specific tolerances ダイアログの画面キャプションは変更されません。

2508

Mechanical Layers 17-32 の Single Layer Pad は、ガーバー出力から欠落しません。

2518

Warning メッセージは、ユーザがロックしたオブジェクトを移動しようとする時に表示されます。

2612

Update from PCB ライブラリを実行している時、"Update from PCB Libraries - Options" ダイアログには PCB で使用しているレイヤのみ含まれます。

2620

hidden ピンと同じネット名をピンデジグネータに割り当てません。

2635

出力レイヤを反転する時、オフセットパッドはガーバーデータで正しく出力されます。

2730

Home Page のサイズ変更を修正しました。

2757

Access Violations を引き起こす Orcad DSN エクスポーターの問題は修正されました。

2861

svn+ssh: リポジトリを使用してある状況での "Can't create tunnel: The system cannot find the file specified" エラーは修正されました。

2880

Make PCB Library でパッドサイズは変更されません。

3082

P-CAD ASCII Export では、パッド名が数字、または数字でないか考慮して昇順で "CompPinRef" フィールドを書き込みます。これは、パッド名の順番が1, 2,3 ..10, 11,..20, 21等になることを保証します。

3153

BOM の Supplier Link フィールドはエラーメッセージを発生しないで適切にソートできます。

3169

PADS Importer では、PADS LAYERS 欄の前に DOCUMENTS_LIST 欄を含む PADS ASCII ファイル V2005.2をロードできます。

3176

ODB++ 出力では、0度でなく360度で回転したフィルを削除しません。

3178

回路図から PCB への ECO では、PCB ワークスペースの中央(~1.2m 離れた)にマルチチャンネル コンポーネントを配置しません。

3181

Specctra デザインファイルを正しくインポートします。

3310

DXF/DWG フォーマットの PcbDoc を保存している時、rounded rectangle パッド形状は正しくエクスポートされます。

3420

信号層にある No Net の格子状のポリゴンを含むデザインの ODB++ を生成している時、"Out of Memory" は起こりません。

3511

ODB++ 出力の属性は改善されました。次の属性はエクスポートされません:.connector, .target, .component, comment, hole_type, gold_finger & serial_number

3856

デジグネータ、メニューコマンドやその他の製品情報のようなコンパイルしたプロジェクトデータをライブで検索できる新しいコンテキスト検索機能が DXP メニューに追加されました。

3857

バリアントで変更したプロジェクトパラメータは、PCB と実装、ガーバーと ODB++ 出力で正しく表示します。

4144

"Write debug information into the Output Panel" プリファレンスが有効で回路図を開いている時、大きい遅延は起こりません。

4181

回路図ドキュメントを含まないリリースを実行する時の問題(リリースが失敗)は修正されました。

4290

シートエントリーとポートは正しく同期します。

4306

インストールしたプラグイン数は持続し、基本のプラットフォームモジュールを常に含みます。

4362

"Tolerance" のようなストリングは、回路図のスペシャルストリングで正しく反映されます。

FPGA と Embedded

38

Terminal 画面を開かない限り、エンベデッドアプリケーションが動かない Terminal 測定器のバグを修正しました。

85

FPGA NB3000 Port-Plugin ライブラリの USB シンボルはレビューされ、USB_D ポートを USB_D7..0 に修正しました。

97

コードをデバッグ中、printf 関数コールをステップオーバーした後、output パネルに行は追加されません。

115

lab 機能 AGUI エディタのメニューはレビューされ、ワークスペースの誤った個所に配置されません。

126

FPGA IP インポートウィザードはレビューされ、暗号化されたソースファイルと一緒に複雑な Altera コアをインポートできます。

128

SDRAM コントローラのスピードが改善され、キャシュ機能が追加されました。

129

Wishbone Probe 測定器のスクリプト機能を追加しました。

131

Wishbone Probe はプロセッサのペリフェラル側で使用できます。

132

FPGA プロジェクトのエラーは致命的なエラーとしてエスカレートされました。重大なエラーが見つかった時、build フローを確実にするためにできるだけ早く停止します。

134

Crosspoint Switch 測定器にスクリプト機能を追加しました。

137

フローを実行する前に、FPGA ソースドキュメントを自動で保存するために、Devices 画面に新しいオプションを追加しました。

138

新しい WB_CELLULAR と WB_GPS_NMEA コアは、GSM (2G/3G) や GPS アプリケーションを実行するための Software Platform ドライバと一緒に追加されました。サンプルプロジェクトは "Examples\Soft Designs\Mobile" フォルダにあります。

141

FPGA プロジェクトの再コンパイルでは、FPGA とエンベデッドプロジェクトを修正しない場合に不正として認識されません。

152

USB WiFi をどのように使用するか示す新しいサンプルデザインは、Examples\Soft Designs\Showcases\NB3000 USB WiFi MSD Webserver ディレクトリで利用できます。

157

バスエクスポータを経由して OpenBus 外部メモリとペリフェラルを使用することが可能です。

161

クロックマネージャコンポーネントは、Actel ProASIC、Fusion、IGLOO デバイスをサポートします。

201

FPGA プロジェクトの Design メニューから Synthesize コマンドを実行しても、WB_SPI コアを含むコンポーネントのプリシンセサイズされたモデルを見つけることができます。

228

32ビットソフトプロセッサの内部メモリサイズは、2倍のサイズまでで制限されません。任意の値まで設定できます。

243

NB3000 Shared Memory Controller のフラッシュメモリコントローラのメモリサイズは、NB3000 で適したパラレル フラッシュメモリデバイスのサイズを反映するために 16MB に設定されます。

283

OpenBus シート上のプロセッサの名称を変更しても、そのプロセッサに接続された割り込みを削除しません。

293

Spartan-3AN、Spartan-6、Virtex-4、Virtex-6 デバイスを含むデバイスをターゲットにしている時の誤った遅延サイクルの総数を修正するために、FPGA_STARTUP コンポーネントはレビューされました。

303

Software Platform ドライバ付の新しい WB_MP3DEC コアを利用できます。それを使用したサンプルデザインは、Altium Designer のインストールフォルダ Examples\Soft Designs\Audio\NB3000 MP3 Decoder にあります。

375

FPGA NB3000 Port-Plugin ライブラリの TFT_PEN シンボルはレビューされました。そのピンは、容易に SPI コアのハーネスコネクタと接続できるようになりました。

383

小さいパフォーマンスの問題と同様に高 CPU を使用する時、USB JTAG で処理されます。

385

Software Platform ドキュメントは free document として開いた場合、空になりません。

430

Software Platform ドキュメントの Software Services の項目はレビューされ、明確なサービスリンクと名称に更新されました。

432

HDL は、論理合成、シミュレーション用にプロジェクトレベルで選択できます。これらの設定は、project options で利用できます。

452

Nexus Debugger を使用して PC レジスタが変更される場合、プロセッサはデバッグセッションでポーズした状態のままになります。

493

USB Webcams をサポートする Plug and Play を使用する方法の例として新しいサンプルデザインを、Examples\Soft Designs\Display\NB3000 USB Video ディレクトリで利用できます。

497

シリアルとパラレルフラッシュメモリプログラマーは、NiosII プロセッサを利用できます。

498

ソフトウェアプラットフォーム起動コード(cstart.asm 内)は外部メモリに配置できます。

528

大きいアレイを拡張してもクラッシュしません。

585

Altera デバイスをターゲットにしている時、WB_SPI8、WB_SPI32、WB_SDCARD コアは、Build エラーを起こさないで同じデザインで一緒に使用できます。

586

"m" 制約を含むインラインアセンブリの Nios コンパイラエラーは修正されました。

629

エンベデッドプロジェクトファイルをダウンロードする時、messages画面にアイテムを追加しました。

686

"Select Processor" 項目は、"Generic" TASKING デバイス用のみ変更できます。その項目は、全ての他のデバイスの選択のために修正(無効)されました。

688

スレッドコンテキストの切り換えとインタラプトによる NiosII でのソフトウェアプラットフォームの問題は修正されました。

699

クロックマネージャコンポーネントは Spartan-6 デバイスをサポートします。

702

回路図ベースの FPGA プロジェクトで複数の NiosII プロセッサを追加しても FPGA フローで失敗しません。

713

一般的な設定できるコンポーネントを含むコアプロジェクトを配布しようとしている時、エラー "Unable to find child model Configurable_UX in output folder" は出力されません。

739

AltiumSynthesizer は divide や modulo 操作の回路を推論しません。div または mod のオペレータは HDL を使用する時、エラーは発生しません。

748

タイミング要求を Ethernet インターフェースの ETH_TXC や ETH_RXC ポートに設定している時、NB3000XN ボードの制約ファイルは FPGA フローのエラーを回避するために更新されました。

758

変数を更新している時、デバッガが無限ループになる問題は修正されました。

765

Messages パネルの Linker エラーでダブルクリックしてもEmbedded Projectファイルは開きません。

769

オブジェクトと関連する属性 FPGA_INHIBIT_BUFFER、FPGA_IOSTANDARD は無視されます(例えば、edif にパスしない SIS/Map へはパスしません)。

794

もし、ソースファイルパスに括弧が含まれている場合、Message Panel に正しいフォーマットが表示されます。

796

エンベデッドプロジェクトを変更しない時、Software Platform ライブラリを不必要に再コンパイルしません。

797

ARMv6M や ARMv7M Cortex コアのためにエミュレータで ARM アプリケーションを実行しても失敗しません。

824

WB_SPDIF コアは、偽のインタラプトを生成しません。

851

Ctrl+C を使用してネットラベルのようなオブジェクトをコピーした時、Logic Analyzer や Digital IO 測定器の configure ダイアログの Paste ボタンを使用して、それらの測定器に信号を追加することが可能です。Copy As Text はこの操作で必要ありません。

886

AltiumSynthesizer レポートファイルの項目は、ファイル内で容易にナビゲートして折りたたむ/拡張することができます。

903

FPGA Configurable Generic IntLib は Divider/Modulo や Multiplier コンポーネント付に拡張されました。

923

Actel Igloo Nano AGLN010 デバイスをサポートします。

930

FPGA_DELAY_MAX、FPGA_DELAY_MAX_FROM、FPGA_DELAY_MAX_TO 制約を使用してConstraints エディタで、ピンをピンに、ピンをフリップフロップに、フリップフロップをピンの最大タイミング遅延に設定することが可能です。

974

実行している NB3000 へ接続した時のスリープから起動する Windows コンピュータは、AD スキャンニング JTAG の問題を引き起こしません。

1011

System Flash で、Spartan3AN デバイスは改善されました。ユーザデータを保存して操作中に、プログラミングデバイスに必要なセクタのみ消去されます。

1041

Embedded プロジェクトの Miscellaneous Import、Following Data Object Files Linker オプションは、インポートしたオブジェクトを生成されたファイルのリストへ正しく追加します。

1042

C ライブラリ 'errno' により、Software Plaform Multithreading Support の安全なスレッドを作成します。

1051

コードシンボルのコンパイルマスクは、同じCソースファイルへ参照するコードシンボル上で副作用無く適用できます。

1058

configurable memory component ダイアログの新しいオプションを介して、または、FPGA Memories ライブラリのメモリコンポーネント用のリトルエンディアンまで設定した値があるエンディアンパラメータを追加して、ビッグエンディアンからリトルエンディアンまでメモリコンポーネントのデフォルトの初期化を変更することが可能です。

1097

Xilinx Spartan-3E Automotive デバイスをターゲットにしている時、configurable clock manager は、正しく働きます。

1102

CHC ログファイルはプロジェクトに追加されます。個々の関数はアウトライン化されます。変数と関数はカラーでコード化されます。

1116

Altera デバイスをターゲットにしているコードプロジェクトは、Altium Synthesizer で論理合成できます。メインデザインとコアプロジェクトを Altium synthesizer で論理合成を行った時の Quartus からの Duplicate component エラーは解決しました。

1119

Terminal 測定器は改善され、コントロールしているプロセッサはハングアップしません。以前、Terminal を表示しシャットダウン、または JTAG へ接続しなくなった場合、プロセッサはハングアップしました。これは起こりません。

1120

Software Platform Multithreading Support は Semaphores のサポートを含みます。API の説明のためにナレッジセンターをチェックします。

1153

波形エディタの View メニューの Fit Document コマンドは、最後の変遷の時間までシミュレーション信号を表示しません。しかし、代わりにシミュレーションが実行された時間まで信号を表示します。

1194

FPGA や Core プロジェクトのために Verilog ライブラリを作成することが可能です。

1196

Tools Convert メニューの Create Verilog Testbench コマンドを通して回路図や Verilog ファイルから、Verilog テストベンチファイルを自動で生成することが可能です。

1204

Verilog フォーマットの FPGA Generic ライブラリからAdder/Subtractor コンポーネントを生成することが可能です。

1206

Verilog フォーマットの FPGA Generic ライブラリからJoiner/Splitter コンポーネントを生成することが可能です。

1207

Verilog フォーマットの FPGA Generic ライブラリからComparator コンポーネントを生成することが可能です。

1209

FPGA Configurable Generic Integrated Library の MUX や DEMUX コンポーネントは、Verilog フォーマットで生成できます。

1210

Verilog フォーマットの FPGA Generic ライブラリから PWM コンポーネントを生成することが可能です。

1211

FPGA Configurable Generic Integrated ライブラリのRegister コンポーネントは Verilog フォーマットで生成できます。

1214

HDL シミュレーションを実行中、Vendor の特定のリソースのプリコンパイルしたライブラリはデフォルトで含まれ、FPGA Simulation Compiler システムオプションを通して管理できます。

1215

HDL シミュレーション中に Bus 信号をハイライト、反転することが可能です。

1220

複数のテストベンチファイルがプロジェクトに含まれていても、シミュレータは選択したテストベンチと共に適切に開始します。

1221

同じ名称で異なる拡張子のテストベンチファイルがプロジェクトに含まれる時、FPGA プロジェクトの Simulation Testbench Document オプションは適切に保存されます。

1223

VHDL、または Verilog テストベンチファイルにフォーカスした時、Compile コマンドは Project メニューや Projects パネルの右クリックメニューで省略されません。

1258

TCP/IP の安定性は改善されました。

1268

NB2 や NB3000 の新しい CodeSymbol Explained サンプルデザインは、C コードシンボルでサポートした全ての "interface types" を示す Altium Designer のインストールフォルダ Examples\Soft Designs\C to Hardware\ で利用できます。

1272

HDL Simulation のために生成した全てのファイルは、コンフリクトを避けるために1つの出力フォルダに生成されます。

1309

Software Platform は、割り込み行ごとに複数の割り込みハンドラをサポートします。

1310

EMAC32 ドライバは、rx/tx 割り込み通知をサポートします。

1311

UART8 ドライバは、スレッドアプリケーションのためにブロックしていないモードとブロックしているモードをサポートします。

1314

アプリケーションを起動している時、ソフトウェアデバッガはソフトリセットの代わりにハードリセットします。

1319

swplatform.h が特定の生成されたプラグインヘッダを含めることができない問題を修正しました。

1325

適切でない組み合わせが c パラメータとコードエントリ間にある時、エラーは c to hardware コードシンボル用にレポートされます。

1360

synthesizer を Actel の Synplicity に設定して Actel Libero 9 をインストールする時、エラー "Unable to find Synplicity For Actel" は起こりません。

1362

CHC Wishbone Multi-Cycle Bus Adapter はリセット後、DONE 信号を行使します。これは、ハードウェア関数がその関数をコールする前にアクティブかどうかテストできます。

1438

回転、反転した configurable コンポーネントのピンは、コンポーネントを再設定した後、適切に配置、整列されます。

1439

Verilog ソースファイルに synthesis 属性(synthesis syn_ramstyle 属性を含む)が含まれている時、verapi.dll の access violation は起こりません。

1442

プロセッサを Terminal 測定器に接続した時や、Altium Designer Nexus ドライバが Terminal 測定器の status register を同時に更新しようとする時の、instrument rack パネルに誤った文字が表示される問題は起こりません。

1443

NANOBOARD_INTERFACE 測定器に接続したスクリプトプロジェクトが無い時、FPGA フローの synthesis は失敗しません。

1444

AB_SYSTEM コンポーネントは Actel Fusion FPGA ライブラリに含まれます。

1445

Configurable Memory Instrument は、Support Byte Enable オプションを選択する時、FPGA build フローで失敗しません。

1446

OpenBus ドキュメントにリンクを配置している時、スペースキーを押して、異なる形状(normalized、flipped、straight)間を切り換えできません。

1447

Frequency Counter 測定器をサポートするスクリプトを追加しました。

1448

Frequency Generator 測定器をサポートするスクリプトを追加しました。

1449

Terminal 測定器をサポートするスクリプトを追加しました。

1450

Verilog で参照されたブラックボックスモジュールの名称は、特定の環境下で誤って表現されました。これは修正されました。

1451

外部のトライステートバッファは、内部のポートのために誤った instantiate を行いません。

1452

出力信号を sensitivity リストで使用する場合、synthesizer はワーニングを表示します。

1461

C++ プロジェクトオプション "Check for Embedded C++ compliance" は、"Comply to embedded C++ subset" に変更されます。その説明は改善されました。

1470

Altera の little-endian Nios II プロセッサコアに関する Endianess 問題は解決しました。

1477

Altium synthesizer のフリップフロップの最適化の問題は修正されました。

1488

回路図ネットリスターが verilog の時、configurable デジタル IO の Synthesis は XST で失敗しません。

1499

devices 画面にデバイスが無い時、Generic ClockManager で "No Solution " が表示される問題を修正しました。

1506

Xilinx Spartan-6 ドライバは更新され、 CP196、CS484、FG900 パッケージのデバイスを含みます。

1508

共有された Memory Controller は、wishbone interconnect をバイパスして他の multimaster を直接、そのポートに接続できる Wishbone バス arbitration ロジックを含みます。

1511

Create HDL Testbench コマンドは、Tools Convert メニューから Simulator メニューへ移動しました。

1536

Altium Designer の C コンパイラは、CERT (www.cert.org) で定義したように CERT C Secure Coding Standard をサポートします。

1550

大文字と小文字の区別に失敗する時、AltiumSynthesizer は Verilog モジュール内で instantiate した VHDL エンティティのポート名について大文字と小文字を区別しないでマップします。

1603

プロジェクト用に複数のテストベンチを設定することが可能です。その設定は、Simulator Simulateサブメニューから直接、選択できます。

1607

Xilinx FPGA をターゲットにしている時、Translate Design 中に duplicated constraints のワーニングは発生しません。

1611

NB3000 ファームウェアは改善されました。Clock 設定は、変更について正しく保存されます。

1617

スクリプトから Digital IO 測定器を使用するために、CreateSignalInstrumentManager や ReleaseSignalInstrumentManager をコールする必要はありません。

1628

I2C スレーブが接続されない場合、i2cm_open はタイムアウトし、アプリケーションはハングアップしません。

1675

Altium Synthesizer で Lattice FPGA のメモリをサポートします。

1686

C Code Symbol で使用されたC言語の拡張子の color coding が可能です。

1729

最後のメンバーを再度、挿入した場合、POSIX カーネル信号の列は壊れません。

1730

Multithreading Support DEBUG オプションを設定する時、スタックはゼロでないバイトパターンで初期化されます。

1739

MIDI Software Platform ペリフェラルプラグインは、他の UART8 プラグインからそれを識別するために更新されました。

1781

Software Platform を含んだ Lightweight IP TCP/IP スタックは、version 1.3.2に更新されました。

1853

On-Chip Bitstream Compression project オプションが有効である時、JTAG 経由で Altera FPGA をプログラミングしても失敗しません。

1854

TSK3000 で POSIX 割り込みから復旧するコンテキストは、適切に IEp ビットを復旧します。

1865

Reference/Dereference は、embedded project オプションの Code Formatting、Spacing から削除されました。

1869

OpenBus バスインポーターとエクスポーターピンは個別に含めたり除外できます。

1887

FPGA Configurable Generic ライブラリの configurable REGISTER は、type を Transparent Latchとして設定する時、その論理的な動作が間違っていました。この問題は修正されました。

1890

vhdl の Empty string 属性は、edif を作成した Altium Synthesizer で正しく translate されます。

1913

ソフトウェアプラットフォームの TMR3 ドライバー API から矛盾する "drv_" プリフィックスを削除しました。

1920

推論されたRAMのために、array のサイズがそのサイズに一致しない場合、それはアドレス行付きでデコードできます。それから、情報メッセージが表示されます。例: ワーニング: BLOCK RAM 'ram' アドレスビット #0は一定 (net "(VCC)")です。

2008

PB01 VIDIN_PCLK ビデオ入力クロックは、PB01 制約ファイルで制約されます。最大周波数は27MHzに設定されます。

2052

Altium Designer の build 番号を含み、条件付きのCコードを作成するために役立つ2つの新しいマクロ _ALTIUM_BUILD_MAJOR_ と _ALTIUM_BUILD_MINOR_ を追加しました。

2058

Posix 関数 sigwaitinfo() は、選択した信号数、またはエラーを示す-1を戻します。

2155

EvalBoardTester Design は修正されました。バス定数には正しいフォーマットの修飾子があります。

2166

Software Timing Management サービスでソフトウェアタイマーを有効にする時、Nios 割り込みハンドラは常にインストールされます。

2178

テキストエディタの Preferences では、シンタックスをハイライト表示するためにカスタム色を選択できます。

2211

ソフトウェアプラットフォームの tvp5150_get_register 関数は、正しい値を戻します。

2233

SPI デバイスをプログラムする時、JTAG 経由で外部の SPI からブートへ設定した Lattice ECP2 デバイスのプログラミングは失敗しません。

2240

SwPlatform ファイルは、project パネルの sources リストに移動できます。

2375

NB3000AL ボードのために LEDS_RGB ポートプラグインを使用している時、RGB leds の順番は逆になりません。

2388

波形画面での Scaled print は修正されました。

2399

LED コントローラが、"Signal Harness" インターフェースタイプに設定できない問題は解決しました。

2403

Embedded プロジェクトのコンパイルは、multicore host machine からコンパイルのスピードを上げるために役立ちます。

2426

Synthesis を設定中、VHDL Libraries は正しく働きます。

2433

属性の初期化のための POSIX カーネルルーチンは、一度以上、呼び出された時、オブジェクトを最初期化します。

2465

配置前に Openbus component Interconnect を編集しても Altium Designer はクラッシュしません。

2474

NB3000AL ボードのための DIPSWITCH ポートプラグインを使用している時、ボードのシルク上に表示したように DIP スイッチの順番は逆になりません。

2530

FPGA プロジェクトの NiosII を使用している時、Altium Designer は synthesis でクラッシュしません。

2541

Altera QuartusII と Nios10.0 をサポートします。

2543

Xilinx ISE12.2 をサポートします。

2663

適切なタイプ名を調べるために typedef を改善しました。

2668

ソフトウェアプラットフォームが見つからない場合、適切なエラーメッセージが表示されます。

2718

DualMaster と Multimater arbiter は改善されました。アドレスバス幅1は回路図と Openbus デザインで正しく扱われます。

2767

パラレルポートが無いコンピュータを実行している時、Actel ProASIC+ デバイスのプログラミングでクラッシュは起こりません。

2810

テキストが合わない時、SPB inspector description にスクロールバーが表示されます。

2819

"Message Queues" サービスのオプション MQ_OPEN_MAX と MQ_PRIO_MAX の変更は影響ありません。

2829

NB3000 Firmware 更新は改善されました。Erase/Programming フラッシュデバイスの状態は正しく処理されます。

2836

ペリフェラルベースアドレスや割り込み番号は、自動で生成されたソフトウェアプラットフォーム "devices.h" ファイルを通してマクロとして利用できます。

2838

エンベデッド ARM プロジェクトで、C/C++ モジュールを分けて Thumb code generation オプションを設定することが可能です。

2854

Threads パネルでは、FIFO 以外のスケジューリング方針があるスレッドのために正しくスケジューリング方針を表示します。

2855

フィールドセパレータとして "->" と "::" をサポートします。

2894

EMAC32 コアは改善されました。コアは、割り込みメカニズムを経由して自動でリンクステータス変更をレポートします。

2898

C コンパイラは、anonymous 構造定義の結合をサポートします。

2917

pthread_setspecific() の Out-of-memory 状態は、NULL ポインタアクセスになりません。

2925

拡張した変数はターゲット実行後、拡張して表示されます。

2929

ソフトウェアプラットフォームの最大 POSIX スレッドスタックサイズは、64kB までに制限されません。

2953

Memory Controller MEM_CTRL は改善されました。Asynch メモリのために生成された HDL コードは buildプロセス中、ワーニングを作成しません。

2971

GUI のサンプルは、接続された割り込みで更新されました。設定ごとに NB2 で実行します。

3030

DualMaster と Multimaster コンポーネントは改善されました。synthesis中にワーニングを作成しません。

3047

Altera Cyclone 3のデフォルトの IO Standard Voltage 設定は2.5V に調整されました。

3053

POSIX sporadic サーバスレッドは、スレッドの出口へそのタイマーを開放します。

3068

CAN ドライバ can_getdata_rxmo() の return コードのバグは修正されました。

3073

矢印キーを押す時、Debugger Timeout ダイアログは従来の指示でコントロールするためにレビューされました。

3101

FPGA Signal Manager は改善されました。Assign Unconstrained Signals 機能は、長い名称のポート上で正しく働きます。

3133

Spartan3AN In System Flash は改善されました。内部のフラッシュのプログラミングは、JTAG チェーンの FPGA の位置に関係無く正しく働きます。

3146

NB3000 Slideshow のサンプルを更新しました。SPI Flash 開始アドレスは各 NB3000 ターゲット用に修正されました。

3170

Configurable Clock Manager は Altera ターゲット用に改善されました。

3183

UCF ファイルのインポートは、見逃された ";" でクラッシュしません。

3203

Thumb2 (Cortex M3) モードで正しく割り込みを処理するために ARM シミュレータを修正しました。

3235

Xilinx Virtex-5 や Virtex-6 デバイスをターゲットにして、ISE 12.x が実行している時、FPGA フローの Place and Route は、エラー "The PAR option, "-t" (Starting Placer Cost Table), is disabled for this architecture" で失敗しません。

3372

Construct Bus Constraints オプションが有効な時、Xilinx UCF ファイルは正しくインポートされます。

3427

FPGA Preferences パネルの USB JTag Speed スライダーのデフォルト値を修正しました。

3660

Altera QuartusII と NiosII version 10.1 をサポートします。

3674

モジュールポートは、CodeExplorer にリスト化されなかった Verilog 2001 で表示されました。これは修正されました。

3860

Posix ASR コンテキストスイッチルーチンは、tsk3000 の前の割り込み有効フラグを処理するために更新されました。

4010

PQ208 パッケージ付の Xilinx CoolRunner XPLA3 デバイスは、Devices View で適切に認識されます。

4272

Windows-7 で実行している時の Actel デバイスのプログラミングは失敗しません。

You are reporting an issue with the following selected text and/or image within the active document: